Accepted Papers

4: HyperNode: An Efficient Node Classification Framework Using HyperDimensional Computing

Haomin Li (Shanghai Jiao Tong University); Fangxin Liu (Shanghai Jiaotong University); Yichi Chen (Tianjin University); Li Jiang (Shanghai Jiao Tong University)

 

5: ARIES: Accelerating Distributed Training in Chiplet-based Systems via Flexible Interconnects

Lingxiang Yin (University of Central Florida); Amir Ghazizadeh Ahsaei (Graduate Student); Ahmed Louri (George Washington University); Hao Zheng (University of Central Florida)

 

10: Fast and Scalable Gate-level Simulation in Massively Parallel Systems

Haichuan Hu (Huazhong University of Science and Technology); Zichen Xu (The Nanchang University); Yuhao Wang (The Nanchang University); Fangming Liu (Huazhong University of Science and Technology)

 

16: HidFix: Efficient Mitigation of Cache-based Spectre Attacks through Hidden Rollbacks

Arash Pashrashid (National University of Singapore); Ali Hajiabadi (National University of Singapore); Trevor E. Carlson (National University of Singapore)

 

26: Reliable Hyperdimensional Reasoning on Unreliable Emerging Technologies

Hamza Errahmouni Barkam (University Of California Irvine); Sanggeon Yun (University of California, Irvine); Hanning Chen (University of California, Irvine); Paul Genssler (University of Stuttgart); Albi Mema (PhD student); Andrew Ding (University of California Irvine); George Michelogiannakis (Lawrence Berkeley National Laboratory); Hussam Amrouch (Technical University of Munich (TUM)); Mohsen Imani (University of California Irvine)

 

39: DOMINO: Domain-Invariant Hyperdimensional Classification for Multi-Sensor Time Series Data

Junyao Wang (University of California, Irvine); Luke Chen (University of California Irvine); Mohammad Al Faruque (University of California Irvine)

 

40: HAPIC: a Scalable, Lightweight and Reactive Cache for Persistent-Memory-based Index

Chih-Ting Lo (National Taiwan University); Yun-Chih Chen (National Taiwan University); Yuan-Hao Chang (Academia Sinica); Tei-Wei Kuo (National Taiwan University)

 

57: MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any RTL Design

Wenji Fang (Hong Kong University of Science and Technology (Guangzhou)); Yao Lu (Hong Kong University of Science and Technology); Shang Liu (Hong Kong University of Science and Technology); Qijun Zhang (Hong Kong University of Science and Technology); Ceyu Xu (Duke University); Lisa Wu Wills (Duke University); Hongce Zhang (Hong Kong University of Science and Technology (Guangzhou)); Zhiyao Xie (Hong Kong University of Science and Technology)

 

61: Data Recomputation for Multithreaded Applications

Gulsum Gudukbay Akbulut (The Pennsylvania State University); Mahmut T. Kandemir (The Pennsylvania State University); Mustafa Karakoy (Tubitak-Bilgem); Wonil Choi (Hanyang University)

 

62: FIONA: Photonic-Electronic Co-Simulation Framework and Transferable Prototyping for Photonic Accelerator

Yinyi LIU (Electronic and Computer Engineering Department, The Hong Kong University of Science and Technology); Bohan HU (Microelectronics Thrust, The Hong Kong University of Science and Technology (Guangzhou)); Zhenguo LIU (Microelectronics Thrust, The Hong Kong University of Science and Technology (Guangzhou)); Peiyu CHEN (Microelectronics Thrust, The Hong Kong University of Science and Technology (Guangzhou)); Linfeng DU (Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology); Jiaqi LIU (Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology); Xianbin LI (Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology); Wei ZHANG (Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology); Jiang XU (Microelectronics Thrust, The Hong Kong University of Science and Technology (Guangzhou))

 

63: Robust GNN-based Representation Learning for HLS

Atefeh Sohrabizadeh (University of California Los Angeles); Yunsheng Bai (University of California, Los Angeles); Yizhou Sun (University of California, Los Angeles); Jason Cong (UCLA)

 

75: SAM: A Scalable Accelerator for Number Theoretic Transform Using Multi-Dimensional Decomposition

Cheng Wang (Xi'an Jiaotong University); Mingyu Gao (Tsinghua University)

 

87: PANDA: Architecture-Level Power Evaluation by Unifying Analytical and Machine Learning Solutions

Qijun Zhang (Hong Kong University of Science and Technology); Shiyu Li (Duke University); Guanglei Zhou (Duke University); Jingyu Pan (Duke University); Chen-Chia Chang (Duke University); Yiran Chen (Duke University); Zhiyao Xie (Hong Kong University of Science and Technology)

 

96: SpOctA: A 3D Sparse Convolution Accelerator with Octree-Encoding-Based Map Search and Inherent Sparsity-Aware Processing

Dongxu Lyu (Shanghai Jiao Tong University); Zhenyu Li (Shanghai Jiao Tong University); Yuzhou Chen (Shanghai Jiao Tong University); Jinming Zhang (Shanghai Jiao Tong University); Ningyi Xu (Shanghai Jiao Tong University); Guanghui He (Shanghai Jiao Tong University)

 

114: Routability Prediction and Optimization Using Explainable AI

Seonghyeon Park (POSTECH); Daeyeon Kim (Samsung Electronics); Seongbin Kwon (Pohang University of Science and Technology); Seokhyeong Kang (Pohang University of Science and Technology)

 

120: Improving Realistic Worst-Case Performance of NVCiM DNN Accelerators through Training with Right-Censored Gaussian Noise

Zheyu Yan (University of Notre Dame); Yifan Qin (University of Notre Dame); Wujie Wen (Lehigh Unversity); X. Sharon Hu (University of Notre Dame); Yiyu Shi (University of Notre dame)

 

130: SEE-MCAM: A Scalable Multi-bit FeFET Content Addressable Memory for Energy Efficient Associative Search

Shengxi Shou (Zhejiang University); Che-Kai Liu (Georgia Institute of Technology); Sanggeon Yun (University of California, Irvine); Zishen Wan (Georgia Institute of Technology); Kai Ni (Rochester Institute of Technology); Mohsen Imani (University of California Irvine); X. Sharon Hu (University of Notre Dame); Jianyi Yang (Zhejiang University); Cheng Zhuo (Zhejiang University); Xunzhao Yin (Zhejiang University)

 

131: Automated Synthesis for In-Memory Computing

Muhammad Rashedul Haq Rashed (University of Central Florida); Sven Thijssen (University of Central Florida); Sumit Jha (University of Texas at San Antonio); Rickard Ewetz (University of Central Florida)

 

132: Full State Quantum Circuit Simulation Beyond Memory Limit

Yilun Zhao (Institute of Computing Technology, CAS); Yu Chen (Institute of Computing Technology); He Li (Southeast University); Ying Wang (State Key Laboratory of Computer Architecture, Institute of Computing Technology, Chinese Academy of Sciences); Kaiyan Chang (State Key Lab of Processors, Institute of Computing Technology, Chinese Academy of Sciences, Beijing; University of Chinese Academy of Sciences); Bingmeng Wang (Capital Normal University); Bing Li (Capital Normal University); yinhe han (Institute of Computing Technology,Chinese Academy of Sciences)

 

137: Routablity-driven Orienation-aware Analytical Placement for System in Package

Jai-Ming Lin (Department of Electrical Engineering, National Cheng Kung University); Tsung-Chun Tsai (Department of Electrical Engineering, National Cheng Kung University); Rui-Ting Shen (Department of Electrical Engineering, National Cheng Kung University)

 

138: HyPlace-3D: A Hybrid Placement Approach for 3D ICs Using Space Transformation Technique

Jai-Ming Lin (Department of Electrical Engineering, National Cheng Kung University); Yu-Chien Lin (Department of Electrical Engineering, National Cheng Kung University); Hsuan Kung (Department of Electrical Engineering, National Cheng Kung University); Wei-Yuan Lin (Department of Electrical Engineering, National Cheng Kung University)

 

141: Deep-learning Model Extraction through Software-based Power Side-channel

Xiang Zhang (Northeastern University); Aidong Adam Ding (Northeastern University); Yunsi Fei (Northeastern University)

 

151: Verification of Flow-Based Computing Systems using Bounded Model Checking

Sven Thijssen (University of Central Florida); Suraj Singireddy (University of Texas at San Antonio); Muhammad Rashedul Haq Rashed (University of Central Florida); Sumit Jha (University of Texas at San Antonio); Rickard Ewetz (University of Central Florida)

 

154: Design and Optimization of Low-Dropout Voltage Regulator Using Relational Graph Neural Network and Reinforcement Learning in Open-Source SKY130 Process

Zonghao Li (University of Toronto); Anthony Chan Carusone (University of Toronto)

 

161: SurgeFuzz: Surge-Aware Directed Fuzzing for CPU Designs

Yuichi Sugiyama (The University of Tokyo); Reoma Matsuo (The University of Tokyo); Ryota Shioya (The University of Tokyo)

 

178: Effective and Efficient Qubit Mapper

Hao Fu (University of Science and Technology of China); Mingzheng Zhu (University of Science and Technology of China); Jun Wu (University of Science and Technology of China); Wei Xie (University of Science and Technology of China); Zhaofeng Su (University of Science and Technology of China); Xiang-yang Li (Hefei National Laboratory, University of Science and Technology of China)

 

185: Integrating Exact Simulation into Sweeping for Datapath Combinational Equivalence Checking

Zhihan Chen (State Key Laboratory of Computer Science, Institute of Software, Chinese Academy of Sciences); xindi zhang (Institute of Software, Chinese Academy of Science); yuhang qian (State Key Laboratory of Computer Science, Institute of Software, Chinese Academy of Sciences); Qiang Xu (Director, CUhk REliable Computing Laboratory (CURE Lab)); shaowei cai (State Key Laboratory of Computer Science, Institute of Software, Chinese Academy of Sciences)

 

186: Accelerating Exact Combinatorial Optimization via RL-based Initialization -- A Case Study in Scheduling

Jiaqi Yin (University of Utah); Cunxi Yu (University of Utah)

 

197: FLEX : Introducing FLEXible Execution on CGRA with Spatio-Temporal Vector Dataflow

Thilini Bandara (National University of Singapore); Dan Wu (National University of Singapore); Rohan Juneja (National University of Singapore); Dhananjaya Wijerathne (National University of Singapore); Tulika Mitra (National University of Singapore); Li-Shiuan Peh (Professor, National University of Singapore)

 

204: Fast Exact NPN Classification with Influence-aided Canonical Form

Yonghe Zhang (Shenzhen University); Liwei Ni (University of Chinese Academy of Sciences); Jiaxi Zhang (Peking University); Guojie Luo (Peking University); Huawei Li (Institute of Computing Technology, Chinese Academy of Sciences); Shenggen Zheng (Pengcheng Laboratory)

 

213: EffiSyn: Efficient Logic Synthesis with Dynamic Scoring and Pruning

Xing Li (Huawei Noah's Ark Lab); Lei Chen (Huawei Noah's Ark Lab); Jiantang Zhang (Hisilicon); Shuang Wen (Hisilicon); Weihua Sheng (Huawei); Yu Huang (Hisilicon); Mingxuan Yuan (Huawei)

 

214: Towards Effective Training of Robust Spiking Recurrent Neural Networks under General Input Noise via Provable Analysis

Wendong Zheng (Sun Yat-sen University); Yu Zhou (Sun Yat-sen University); Gang Chen (Sun Yat-sen University); Zonghua Gu (Umeå University, Sweden); Kai Huang (Sun Yet-sen University)

 

219: iPL-3D: A Novel Bilevel Programming Model for Die-to-Die Placement

Xueyan Zhao (Institute of Computing Technology, Chinese Academy of Sciences); Shijian Chen (Peng Cheng Laboratory); Yihang Qiu (Guangdong University of Technology); Jiangkao Li (Minnan Normal University); Zhipeng Huang (Peng Cheng Laboratory); Biwei Xie (Institute of Computing Technology, Chinese Academy of Sciences); Xingquan Li (School of Mathematics and Statistics, Minnan Normal University); Yungang Bao (Institute of Computing Technology, Chinese Academy of Sciences)

 

224: Optimizing LUT-based Quantum Circuit Synthesis using Relative Phase Boolean Operations

David Lawrence Bantug Clarino (Ritsumeikan University); Naoya Asada (RItsumeikan University); Shigeru Yamashita (Ritsumeikan University)

 

225: Optimal Layout Synthesis for Quantum Circuits as Classical Planning

Irfansha Shaik (Aarhus University); Jaco van de Pol (Aarhus University)

 

226: Secure-by-Construction Design Methodology for CPUs: Implementing Secure Speculation on the RTL

Tobias Jauch (RPTU Kaiserslautern-Landau); Alex Wezel (RPTU Kaiserslautern-Landau); Mohammad Rahmani Fadiheh (Technische Universität Kaiserslautern); Philipp Schmitz (RPTU Kaiserslautern-Landau); Sayak Ray (Intel Corporation); Jason M. Fung (Intel Corporation); Christopher W. Fletcher (University of Illinois at Urbana-Champaign); Dominik Stoffel (RPTU Kaiserslautern-Landau); Wolfgang Kunz (RPTU Kaiserslautern-Landau)

 

228: SystemC Model of Power Side-Channel Attacks Against AI Accelerators: Superstition or not?

Andrija Neskovic (University of Luebeck); Saleh Mulhem (Institute of Computer Engineering, University of Lübeck); Alexander Treff (University of Luebeck); Rainer Buchty (University of Luebeck); Thomas Eisenbarth (University of Luebeck); Mladen Berekovic (Universität zu Lübeck)

 

229: Analog or Digital In-memory Computing? Benchmarking through Quantitative Modeling

Jiacong Sun (KU Leuven); Pouya Houshmand (KU Leuven); Marian Verhelst (KU Leuven)

 

231: BeKnight: Guarding against Information Leakage in Speculatively Updated Branch Predictor

Md Hafizul Islam Chowdhuryy (University of Central Florida); Zhenkai Zhang (Clemson University); Fan Yao (University of Central Florida)

 

235: Fast Full-Chip Parametric Thermal Analysis Based on Enhanced Physics Enforced Neural Networks

Liang Chen (University of California, Riverside); Jincong Lu (University of California, Riverside); Wentian Jin (University of California, Riverside); Sheldon Tan (University of California at Riverside)

 

239: Brain-inspired Trustworthy Hyperdimensional Computing with Efficient Uncertainty Quantification

Yang Ni (University of California, Irvine); Hanning Chen (University of California, Irvine); Prathyush Poduval (CMTC, Department of Physics, University of Maryland); Zhuowen Zou (UCI); Pietro Mercati (Intel Labs); Mohsen Imani (University of California Irvine)

 

256: SAGA: Sparsity-Agnostic Graph Convolutional Network Acceleration with Near-optimal Workload Balance

Sanjay Gandham (University of Central Florida); Lingxiang Yin (University of Central Florida); Hao Zheng (University of Central Florida); Mingjie Lin (University of Central Florida)

 

258: BOOST: Block Minifloat-Based On-Device CNN Training Accelerator with Transfer Learning

Chuliang Guo (Zhejiang University); Binglei Lou (The University of Sydney); Xueyuan Liu (The University of Sydney); David Boland (The University of Sydney); Philip H.W. Leong (The University of Sydney); Cheng Zhuo (Zhejiang University)

 

266: A Transfer Learning Framework for High-accurate Cross-workload Design Space Exploration of CPU

Duo Wang (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences); Mingyu Yan (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yihan Teng (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences); Dengke Han (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences); Haoran Dang (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences); Xiaochun Ye (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences); Dongrui Fan (SKLP, Institute of Computing Technology, Chinese Academy of Sciences; University of Chinese Academy of Sciences)

 

267: Handling Orientation and Aspect Ratio of Modules in Electrostatics-based Large Scale Fixed-Outline Floorplanning

Fuxing Huang (Fuzhou University); Duanxiang Liu (Fuzhou University); Xingquan Li (Peng Cheng Laboratory); Bei Yu (The Chinese University of Hong Kong); Wenxing Zhu (Fuzhou University)

 

276: Design and Technology Co-optimization for Useful Skew Scheduling on Multi-bit Flip-flops

Suwan Kim (Seoul National University); Taewhan Kim (Seoul National University)

 

280: Floorplanning for Embedded Multi-die Interconnect Bridge Packages

Chung-Chia Lee (National Taiwan University); Yao-Wen Chang (National Taiwan University)

 

281: A General Wavelength-Routed Optical Networks-on-Chip Model with Applications to Provably Good Customized and Fault-Tolerant Topology Designs

Yan-Lin Chen (National Taiwan University); Wei-Che Tseng (National Taiwan University); Wei-Yao Kao (National Taiwan University); Yao-Wen Chang (National Taiwan University)

 

286: Automated Hardware Trojan Detection at LUT Using Explainable Graph Neural Networks

Lingjuan Wu (College of Informatics, Huazhong Agricultural University); Hao Su (School of Cybersecurity, Northwestern Polytechnical University); Xuelin Zhang (College of Informatics, Huazhong Agricultural University); Yu Tai (School of Cybersecurity, Northwestern Polytechnical University); Han Li (College of Informatics, Huazhong Agricultural University); Wei Hu (School of Cybersecurity, Northwestern Polytechnical University)

 

292: LIM-GEN: A Data-guided Framework for Automated Generation of Heterogeneous Logic-in-Memory Architecture

Libo Shen (Institute of Computing Technology, Chinese Academy of Sciences); Boyu Long (Institute of Computing Technology, Chinese Academy of Sciences); Rui Liu (School of Materials Science and Engineering, Xiangtan University, Hunan, China; Institute of Computing Technology, Chinese Academy of Sciences); Xiaoyu Zhang (Institute of Computing Technology, Chinese Academy of Sciences); yinhe han (Institute of Computing Technology,Chinese Academy of Sciences); Xiaoming Chen (Institute of Computing Technology, Chinese Academy of Sciences)

 

298: PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM

Anjiang Wei (Stanford University); Akash Levy (Stanford University); Pu Yi (Stanford University); Robert Radway (Stanford University); Priyanka Raina (Stanford University); Subhasish Mitra (Stanford University); Sara Achour (Stanford University)

 

307: Distributionally Robust Circuit Design Optimization under Variation Shifts

Yifan Pan (University of California, Santa Barbara); Zichang He (University of California, Santa Barbara); Nanlin Guo (Fudan University); Zheng Zhang (University of California, Santa Barbara)

 

308: MirrorNet: A TEE-Friendly Framework for Secure On-device DNN Inference

Ziyu Liu (Northeastern University); Yukui Luo (Northeastern University); Shijin Duan (Northeastern University); Tong Zhou (Northeastern University); Xiaolin Xu (Northeastern University)

 

319: An Anti-removal-attack Hardware Watermarking Method based on Polymorphic Gates

Yongliang Chen (Peking University Shenzhen Graduate School); Xiaole Cui (Peking University Shenzhen Graduate School); Pengyuan Yang (Peking University Shenzhen Graduate School); Gang Qu (University of Maryland)

 

324: Clock Tree Aware Global Placement for Low Power

Jinghao Ding (Southwest University of Science and Technology); Linhao Lu (Southwest University of Science and Technology); Zhaoqi Fu (Southwest University of Science and Technology); Jie Ma (Southwest University of Science and Technology); Yuanrui Qi (Southwest University of Science and Technology); Mengshi Gong (Southwest University of Science and Technology); Wenxin Yu (Southwest University of Science and Technology)

 

328: EDS-SLAM: An Energy-efficient Accelerator for Real-time Dense Stereo SLAM with Learned Feature Matching

Qian Huang (Sun Yat-sen University); Gaoxing Shang (Sun Yat-sen University); Yu Zhang (Sun Yat-sen University); Gang Chen (Sun Yat-sen University)

 

329: Meltrix: A RRAM-based Polymorphic Architecture Enhanced by Function Synthesis

Boyu Long (Institute of Computing Technology, Chinese Academy of Sciences); Libo Shen (Institute of Computing Technology, Chinese Academy of Sciences); Xiaoyu Zhang (Institute of Computing Technology, Chinese Academy of Sciences); yinhe han (Institute of Computing Technology,Chinese Academy of Sciences); Xian-He Sun (Illinois Institute of Technology); Xiaoming Chen (Institute of Computing Technology, Chinese Academy of Sciences)

 

331: EasySO: Exploration-enhanced Reinforcement Learning for Logic Synthesis Sequence Optimization and a Comprehensive RL Environment

jianyong yuan (Shanghai Jiao Tong University); Peiyu Wang (Shanghai Jiao Tong University); Junjie Ye (Huawei); Mingxuan Yuan (Huawei Noah's Ark Lab); Jianye Hao (Tianjin University); Junchi Yan (Shanghai Jiao Tong University)

 

339: A Novel and Efficient Block-Based Programming for ReRAM-Based Neuromorphic Computing

Wei-Lun Chen (National Cheng Kung University); Fang-Yi Gu (National Cheng Kung University); Ing-Chao Lin (National Cheng Kung University); Grace Li Zhang (TU Darmstadt); Bing Li (Technical University of Munich); Ulf Schlichtmann (Technical University of Munich)

 

343: An Open Source Mixed-Precision Neural Network Accelerator Design Framework for FPGAs

Erjing Luo (Beijing Institute of Technology); Haitong Huang (State Key Laboratory of Processor, Institute of Computing Technology, Chinese Academy of Sciences; Department of Computer Science, University of Chinese Academy of Sciences); Cheng Liu (Institute of Computing Technology, Chinese Academy of Sciences); Guoyu Li (State Key Laboratory of Processor, Institute of Computing Technology, Chinese Academy of Sciences; Department of Computer Science, University of Chinese Academy of Sciences); Bing Yang (Department of Computer Science and Technology, Harbin University of Science of Technology); Ying Wang (State Key Laboratory of Processor, Institute of Computing Technology, Chinese Academy of Sciences); Huawei Li (State Key Laboratory of Processor, Institute of Computing Technology, Chinese Academy of Sciences); Xiaowei Li (State Key Laboratory of Processor, Institute of Computing Technology, Chinese Academy of Sciences)

 

349: TL-nvSRAM-CIM: Ultra-High-Density Three-Level ReRAM-Assisted Computing-in-nvSRAM with DC-Power Free Restore and Ternary MAC Operations

Dengfeng Wang (Shanghai Jiao Tong University); Liukai Xu (Shanghai Jiao Tong University); Songyuan Liu (Shanghai Jiaotong University); zhi Li (Shanghai Jiao Tong University); Yiming Chen (Tsinghua University); Weifeng He (Shanghai Jiao Tong University); Xueqing Li (Tsinghua University); Yanan Sun (Department of Micro-Nano Electronics, Shanghai Jiao Tong University)

 

352: Single-Qubit Gates Matter for Optimising Quantum Circuit Depth in Qubit Mapping

Sanjiang Li (UTS); Ky Dan Nguyen (University of Sydney); Zachary Clare (University of Technology Sydney); Yuan Feng (University of Technology Sydney)

 

353: Memory-aware Scheduling for Complex Wired Networks with Iterative Graph Optimization

Shuzhang Zhong (School of  Computer Science and Engineering, Beihang University); Meng Li (Institute for Artificial Intelligence and School of Integrated Circuits, Peking University); Yun (Eric) Liang (Peking University); Runsheng Wang (Peking University); Ru Huang (Peking University)

 

354: Stronger Mixed-Size Placement Backbone Considering Second-Order Information

Yifan Chen (Peking University); Zaiwen Wen (Peking University); Yun (Eric) Liang (Peking University); Yibo Lin (Peking University)

 

363: EMSim+: Accelerating Electromagnetic Security Evaluation with Generative Adversarial Network

Ya Gao (Tianjin University); Haocheng Ma (Tianjin University); Jindi Kong (Tianjin University); Jiaji He (Tianjin University); Yiqiang Zhao (Tianjin University); Yier Jin (University of Science and Technology of China)

 

365: Thermally-aware Multi-core Chiplet Stacking

Gaurav Kothari (Dept. of Computer Science, SUNY-Binghamton); Kanad Ghose (Dept. of Computer Science, SUNY-Binghamton)

 

367: Falcon: Accelerating Homomorphically Encrypted Convolutions for Efficient Private Mobile Networks Inference

Tianshi Xu (Peking University); Meng Li (Institute for Artificial Intelligence and School of Integrated Circuits, Peking University); Runsheng Wang (Peking University); Ru Huang (Peking University)

 

371: Towards Timing-Driven Routing: An Efficient Learning Based Geometric Approach

Liying Yang (University of Science and Technology of China); Guowei Sun (University of Science and Technology of China); Hu Ding (University of Science and Technology of China)

 

381: Systolic Array Placement on FPGAs

Hailiang Hu (Texas A&M University); Donghao Fang (Texas A&M University); Wuxi Li (AMD); Bo Yuan (Rutgers University); Jiang Hu (Texas A&M University)

 

393: Multi-Task Evolutionary to PVT Knowledge Transfer for Analog Integrated Circuit Optimization

Jintao Li (university of electronic science and technology of china); Haochang Zhi (Southeast University); Weiwei Shan (Southeast University); Yongfu Li (Shanghai Jiao Tong University); Yanhan Zeng (Guangzhou University); Yun Li (university of electronic science and technology of china)

 

402: Power-Aware Training for Energy-Efficient Printed Neuromorphic Circuits

Haibin Zhao (Karlsruhe Institute of Technology); Priyanjana Pal (Karlsruhe Institute of Technology); Michael Hefenbrock (RevoAI GmbH); Michael Beigl (Karlsruhe Institute of Technology); Mehdi Tahoori (Karlsruhe Institute of Technology)

 

403: MapBuf: Simultaneous Technology Mapping and Buffer Insertion for HLS Performance Optimization

Hanyu Wang (ETH Zurich); Carmine Rizzi (ETH Zurich); Lana Josipovic (ETH Zurich)

 

409: Constant Coefficient Multipliers Using Self-Similarity-Based Hybrid Binary-Unary Computing

Alireza Khataei (University of Minnesota); Kia Bazargan (University of Minnesota)

 

411: Rapid-INR: Storage Efficient CPU-free DNN Training Using Implicit Neural Representation

Hanqiu Chen (Georgia Institute of Technology); Hang Yang (Georgia Institute of Technology); Stephen BR Fitzmeyer (Georgia Institute of Technology); Cong Hao (Georgia Institute of Technology)

 

413: FuNToM: Functional Modeling of RF Circuits Using a Neural Network Assisted Two-Port Analysis Method

Morteza Fayazi (University of Michigan); Morteza Tavakoli Taba (University of Michigan); Amirata Tabatabavakili (University of Michigan); Ehsan Afshari (University of Michigan); Ronald Dreslinski (University of Michigan)

 

420: Real-time Thermal Map Estimation for AMD Multi-Core CPUs using Transformer

Jincong Lu (University of California, Riverside); Jinwei Zhang (University of California, Riverside); Sheldon Tan (University of California, Riverside)

 

421: Accelerating Polynomial Modular Multiplication with Crossbar-Based Compute-in-Memory

Mengyuan Li (University of Notre Dame); Haoran Geng (University of Notre Dame); Michael Niemier (University of Notre Dame); X. Sharon Hu (University of Notre Dame)

 

422: RNA-ViT: Reduced-Dimension Approximate Normalized Attention Vision Transformers for Latency Efficient Private Inference

Dake Chen (University of Southern California); Yuke Zhang (University of Southern California); Souvik Kundu (Intel Labs); Chenghao Li (University of Southern California); Peter Beerel (Univ. of Southern California)

 

428: GraPhSyM: Graph Physical Synthesis Model

Ahmed Agiza (Brown University); Rajarshi Roy (NVIDIA); Teodor-Dumitru Ene (NVIDIA); Saad Godil (NVIDIA Corporation); Sherief Reda (Brown University); Bryan Catanzaro (NVIDIA)

 

434: PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks

Subed Lamichhane (University of California, Riverside); Wentian Jin (University of California, Riverside); Liang Chen (University of California,Riverside); Mohammadamir Kavousi (University of California, Riverside); Sheldon Tan (University of California at Riverside)

 

437: MiniTNtk: An Exact Synthesis-based Method for Minimizing Transistor Network

Weihua Xiao (Shanghai Jiao Tong University); Shanshan Han (Shanghai Jiao Tong University); Yue Yang (Shanghai Jiao Tong University); Shaoze Yang (Shanghai Jiao Tong University); Cheng Zheng (Shanghai Jiao Tong University); Jingsong Chen (Huawei); Tingyuan Liang (Huawei); Lei Li (Huawei); Weikang Qian (Shanghai Jiao Tong University)

 

446: Exact Logic Synthesis for Reversible Quantum-Flux-Parametron Logic

Rongliang Fu (The Chinese University of Hong Kong); Junying Huang (SKLP, Institute of Computing Technology, CAS); Olivia Chen (Tokyo City University); Nobuyuki Yoshikawa (Yokohama National University); Tsung-Yi Ho (The Chinese University of Hong Kong)

 

449: DLPlace: A Delay-Line Clocking-based Placement Framework for AQFP Circuits

Rongliang Fu (The Chinese University of Hong Kong); Olivia Chen (Tokyo City University); Junying Huang (SKLP, Institute of Computing Technology, CAS); Bei Yu (The Chinese University of Hong Kong); Nobuyuki Yoshikawa (Yokohama National University); Tsung-Yi Ho (The Chinese University of Hong Kong)

 

451: Runtime Row/Column Activation Pruning for ReRAM-based Processing-in-Memory DNN Accelerators

Xikun Jiang (School of Computer Science and Technology, Shandong University); Zhaoyan Shen (School of Computer Science and Technology, Shandong University); Siqing Sun (Cloud Inspur Information Technology Co., Ltd.); Ping Yin (Cloud Inspur Information Technology Co., Ltd.); Zhiping Jia (School of Computer Science and Technology, Shandong University); Lei Ju (School of Cyber Science and Technology, Shandong University); Zhiyong Zhang (School of Computer Science and Technology, Shandong University); Dongxiao Yu (School of Computer Science and Technology, Shandong University)

 

455: Accuracy-Preserving Reduction of Sparsified Reduced Power Grids with A Multilevel Node Aggregation Scheme

Zhiqiang Liu (Tsinghua University); Wenjian Yu (Tsinghua University)

 

468: READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction

Zuodong Zhang (School of Integrated Circuits, Peking University); Renjie Wei (Peking University); Meng Li (Institute for Artificial Intelligence and School of Integrated Circuits, Peking University); Yibo Lin (Peking University); Runsheng Wang (Peking University); Ru Huang (Peking University)

 

475: Sphinx: A Hybrid Boolean Processor-FPGA Hardware Emulation System

Ruiyao Pu (Fudan University); Yiwei Sun (Fudan University); Pei-Hsin Ho (CTO of Shanghai UniVista Industrial Software Group); Fan Yang (Fudan University); Li Shang (fudan university); Xuan Zeng (Fudan University)

 

482: WolFEx: Word-Level Function Extraction and Simplification from Gate-Level Arithmetic Circuits

Kuo-Wei Ho (National Taiwan University); Shao-Ting Chung (National Taiwan University); Tian-Fu Chen (Graduate School of Advanced Technology, National Taiwan University); Yu-Wei Fan (National Taiwan University); Che Cheng (National Taiwan University); Cheng-Han Liu (Graduate School of Advanced Technology, National Taiwan University); Jie-Hong Roland Jiang (National Taiwan University)

 

486: Local Layout Effect-aware Static Timing Analysis by use of a New Sensitivity-based Library

Juyeon Kim (Foundry Division, Samsung Electronics); Changho Han (School of Electronic Engineering, Kumoh National Institute of Technology); Cheoljun Bae (Foundry Division, Samsung Electronics); Yoobeom Kim (Foundry Division, Samsung Electronics); Jae Hoon Kim (Foundry Division, Samsung Electronics); Hyun-seung Seo (Foundry Division, Samsung Electronics)

 

487: QPulseLib: Accelerating the Pulse Generation of Quantum Circuit with Reusable Patterns

Wuwei Tian (Zhejiang University); Xinghui Jia (Zhejiang University); Siwei Tan (Zhejiang university); Zixuan Song (ZJU-Hangzhou Global Scientific and Technological Innovation Center); Liqiang Lu (Zhejiang University); Jianwei Yin (Zhejiang University)

 

493: VecPAC: A Vectorizable and Precision-Aware CGRA

Cheng Tan (Google); Deepak Patil (Arizona State University); Antonino Tumeo (Pacific Northwest National Laboratory); Gabriel Weisz (Microsoft); Steve Reinhardt (Microsoft); Jeff Zhang (Harvard University)

 

494: Multi-Product Optimization for 3D Heterogeneous Integration with D2W Bonding

Zhen Zhuang (The Chinese University of Hong Kong); Kai-Yuan Chao (Hong Kong Research Center, Huawei Technology Investment Co., Ltd.); Bei Yu (The Chinese University of Hong Kong); Tsung-Yi Ho (The Chinese University of Hong Kong); Martin Wong (The Chinese University of Hong Kong)

 

498: GRAFT: Graph-assisted Reinforcement learning for Automated SSD Firmware Testing

Yoon Hyeok Lee (Samsung Advanced Institute of Technology); Youngmin Oh (Samsung Advanced Institute of Technology); Gyohun Jeong (Samsung Electronics); Mingyu Pi (Samsung Electronics); Hyukil Kwon (Samsung); Hakyoung Lim (Samsung electronics); Eungchae Kim (Samsung Electronics. DS); Sunghee Lee (Samsung Electronics. DS); Bosun Hwang (Samsung Advanced Institute of Technology (SAIT), Samsung Electronics)

 

505: Spacing Cost-aware Optimal and Efficient Mixed-Cell-Height Detailed Placement for DFM Considerations

Da-Wei Huang (National Taiwan University of Science and Technology); Ying-Jie Jiang (National Taiwan University of Science and Technology); Shao-Yun Fang (National Taiwan University of Science and Technology)

 

508: Automatic Kernel Generation for Large Language Models on Deep Learning Accelerators

Fuyu Wang (Sun Yat-sen University); Minghua Shen (Sun Yat-sen University)

 

510: Lay-Net: Grafting Netlist Knowledge on Layout-Based Congestion Prediction

Su Zheng (The Chinese University of Hong Kong); Lancheng Zou (Wuhan University); Peng XU (The Chinese University of Hong Kong); Siting Liu (The Chinese University of Hong Kong); Bei Yu (The Chinese University of Hong Kong); Martin Wong (The Chinese University of Hong Kong)

 

511: An Energy-Efficient 3D Point Cloud Neural Network Accelerator With Efficient Filter Pruning, MLP Fusion, and Dual-Stream Sampling

Changchun Zhou (Shenzhen Graduate School, Peking University); Yuzhe Fu (Shenzhen Graduate School, Peking University); Min Liu (Shenzhen Graduate School, Peking University); Siyuan Qiu (Shenzhen Graduate School, Peking University); Ge Li (Shenzhen Graduate School, Peking University); Yifan He (Reconova Technologies Co., Ltd.); Hailong Jiao (Shenzhen Graduate School, Peking University)

 

528: Checkpoint Placement for Systematic Fault-Injection Campaigns

Christian Dietrich (Hamburg University of Technology); Tim-Marek Thomas (Leibniz Universitaet Hannover); Matthias Mnich (Hamburg University of Technology)

 

537: Learned Formal Proof Strengthening for Efficient Hardware Verification

Minwoo Kang (UC Berkeley); Azade Nazi (Google Brain); Eshan Singh (Google); Geetheeka Sherronn Bathini (Google); Yuriy Viktorov (Google)

 

540: CRYSTALS-Dilithium on RISC-V Processor: Lightweight Secure Boot using Post Quantum Digital Signature

Naina Gupta (Nanyang Technological University); Arpan Jati (NTU Singapore); Anupam Chattopadhyay (Nanyang Technological University)

 

560: RONet: Scaling GPU System with Silicon Photonic Chiplet

Chengeng Li (The Hong Kong University of Science and Technology); Fan Jiang (The Hong Kong University of Science and Technology); Shixi Chen (The Hong Kong University of Science and Technology); Xianbin LI (Hong Kong University of Science and Technology); Yinyi LIU (Electronic and Computer Engineering Department, The Hong Kong University of Science and Technology); Lin Chen (The Hong Kong University of Science and Technology); Xiao LI (The Hong Kong University of Science and Technology); Jiang Xu (Hong Kong University of Science and Technology (Guangzhou))

 

562: Accurate Hybrid Delay Models for Dynamic Timing Analysis

Arman Ferdowsi (TU WIEN); Ulrich Schmid (TU Wien); Josef Salzmann (TU Wien)

 

566: EasyMap: Improving Technology Mapping via Exploration-Enhanced Heuristics and Adaptive Sequencing

Peiyu Wang (Shanghai Jiao Tong University); Anqi Lu (Shanghai Jiao Tong University); Junjie Ye (Huawei); Xing Li (Huawei Noah's Ark Lab); Lei Chen (Huawei Noah's Ark Lab); Mingxuan Yuan (Huawei Noah's Ark Lab); Jianye Hao (Tianjin University); Junchi Yan (Shanghai Jiao Tong University)

 

573: Lowering Latency of Embedded Memory by Exploiting In-Cell Victim Cache Hierarchy Based on Emerging Multi-Level Memory Devices

Juejian Wu (Tsinghua University); Tianyu Liao (Tsinghua University); Taixin Li (Tsinghua University); Yixin Xu (The Pennsylvania State University); Vijaykrishnan Narayanan (Penn State University); Yongpan Liu (Tsinghua University); Huazhong Yang (Tsinghua University); Xueqing Li (Tsinghua University)

 

577: OPT: Optimal Proposal Transfer for Efficient Yield Optimization for Analog and SRAM Circuits

Yanfang Liu (13176007513); Guohao Dai (Shenzhen University); Yuanqing Cheng (Beihang University); Wang Kang (Beihang University); Wei Xing (Beihang University)

 

590: Kernel Shape Control for Row-Efficient Convolution on Processing-In-Memory Arrays

Johnny Rhe (Sungkyunkwan University); Kang Eun Jeon (Sungkyunkwan University); Joo Chan Lee (Sungkyunkwan University); Seongmoon Jeong (Sungkyunkwan University); Jong Hwan Ko (Sungkyunkwan University (SKKU))

 

593: AlphaSyn: Logic Synthesis Optimization with Efficient Monte Carlo Tree Search

Zehua Pei (The Chinese University of Hong Kong); Fangzhou Liu (Shanghai AI Laboratory); Zhuolun He (The Chinese University of Hong Kong); Guojin Chen (The Chinese University of HongKong); Haisheng Zheng (Shanghai AI Laboratory); Keren Zhu (The Chinese University of Hong Kong); Bei Yu (The Chinese University of Hong Kong)

 

594: Fluid Batching: Exit-Aware Preemptive Serving of Early-Exit Neural Networks on Edge NPUs

Alexandros Kouris (Samsung AI and Imperial College London); Stylianos Venieris (Samsung AI); Stefanos Laskaridis (Samsung AI Center Cambridge); Nicholas Lane (University of Cambridge)

 

601: ClusterNet: Routing Congestion Prediction and Optimization using Netlist Clustering and Graph Neural Networks

Kyungjun Min (Pohang University of Science and Technology); Seongbin Kwon (Pohang University of Science and Technology); Sung-Yun Lee (Pohang University of Science and Technology (POSTECH)); Dohun Kim (Pohang University of Science and Technology); Sunghye Park (Pohang University of Science and Technology); Seokhyeong Kang (Pohang University of Science and Technology)

 

603: Xel-FPGAs: An End-to-End Automated Exploration Framework for Approximate Accelerators in FPGA-Based Systems

Bharath Srinivas Prabakaran (Technische Universität Wien (TU Wien)); Vojtech Mrazek (Brno University of Technology); Zdenek Vasicek (Brno University of Technology); Lukas Sekanina (Brno University of Technology); Muhammad Shafique (New York University Abu Dhabi (NYUAD))

 

612: NeuroEscape: Ordered Escape Routing via Monte-Carlo Tree Search and Neural Network

Zhiyang Chen (Tsinghua University); Tsung-Yi Ho (The Chinese University of Hong Kong); Ulf Schlichtmann (Technical University of Munich); Datao Chen (Huawei Device Co., Ltd.); Mingyu Liu (Huawei Device Co., Ltd.); Hailong Yao (University of Science and Technology Beijing); Xia Yin (Tsinghua University)

 

614: DeepGate2: Functionality-Aware Circuit Representation Learning

Zhengyuan Shi (The Chinese University of Hong Kong); Hongyang Pan (Ningbo university); Sadaf Khan (The Chinese University of Hong Kongg); Min Li (The Chinese University of Hong Kong); Yi Liu (The Chinese University of Hong Kong); Junhua Huang (Huawei Noah's Ark Lab); Hui-Ling Zhen (Huawei Noah's Ark Lab); Mingxuan Yuan (Huawei Noah's Ark Lab); Zhufei Chu (Ningbo University); Qiang Xu (The Chinese University of Hong Kong)

 

615: NearUni: Near-Unitary Training for Efficient Optical Neural Networks

Amro Eldebiky (Technical University of Munich); Bing Li (Technical University of Munich); Grace Li Zhang (TU Darmstadt)

 

619: SATformer: Transformer-Based UNSAT Core Learning

Zhengyuan Shi (The Chinese University of Hong Kong); Min Li (The Chinese University of Hong Kong); Yi Liu (The Chinese University of Hong Kong); Sadaf Khan (The Chinese University of Hong Kongg); Junhua Huang (Huawei Noah's Ark Lab); Hui-Ling Zhen (Huawei Noah's Ark Lab); Mingxuan Yuan (Huawei Noah's Ark Lab); Qiang Xu (The Chinese University of Hong Kong)

 

621: ARMM: Adaptive Reliability Quantification Model of Microfluidic Designs and Its Graph-Transformer-Based Implementation

Siyuan Liang (The Chinese University of Hong Kong); Meng Lian (Technical University of Munich); Mengchu Li (Technical University of Munich); Tsun-Ming Tseng (Technical University of Munich); Ulf Schlichtmann (Technical University of Munich); Tsung-Yi Ho (The Chinese University of Hong Kong)

 

631: THE-V: Verifiable Privacy-Preserving Neural Network via Trusted Homomorphic Execution

Yuntao Wei (Beihang University); Xueyan Wang (Beihang University); Song Bian (Beihang University); Weisheng Zhao (Beihang University); Yier Jin (University of Science and Technology in China)

 

636: PP-Transformer: Enable Efficient Deployment of Transformers through Pattern Pruning

Jialin Cao (Fudan University); Xuanda Lin (Fudan University); Manting Zhang (Fudan University); Kejia Shi (Fudan University); Jun Yu (Fudan University); Kun Wang (Fudan University)

 

638: Exploration and Exploitation of Hidden PMU Events

Yihao Yang (Beijing University of Posts and Telecommunications); Pengfei Qiu (Beijing University of Posts and Telecommunications); Chunlu Wang (Beijing University of Posts and Telecommunications); Yu Jin (Beijing University of Posts and Telecommunications); Qiang Gao (Beijing University of Posts and Telecommunications); Xiaoyong Li (Beijing University of Posts and Telecommunications); Dongsheng Wang (Tsinghua University); Gang Qu (University of Maryland)

 

642: Delay-Matching Routing for Advanced Packages

Chun An Lee (National Tsing Hua University); Wen-Hao Liu (Cadence Design Systems); Gary Lin (Cadence Design Systems); Tsung-Yi Ho (The Chinese University of Hong Kong)

 

646: FET-OPU: A Flexible and Efficient FPGA-based Overlay Processor for Transformer Networks

Yueyin Bai (Fudan University); Hao Zhou (Fudan University); Keqing Zhao (Fudan University); Hongji Wang (Fudan University); Jianli Chen (Fudan University); Jun Yu (Fudan University); Kun Wang (Fudan University)

 

680: Path-based Processing using In-Memory Systolic Arrays for Accelerating Data-Intensive Applications

Muhammad Rashedul Haq Rashed (University of Central Florida); Sven Thijssen (University of Central Florida); Sumit Jha (University of Texas at San Antonio); Hao Zheng (University of Central Florida); Rickard Ewetz (University of Central Florida)

 

683: Practical Layout-Aware Analog/Mixed-Signal Design Automation with Bayesian Neural Networks

Ahmet Budak (University of Texas at Austin); Keren Zhu (The Chinese University of Hong Kong); David Z. Pan (University of Texas at Austin)

 

685: Efficient Sampling and Grouping Acceleration for Point Cloud Deep Learning via Single Coordinate Comparison

Hyunsung Yoon (Pohang University of Science and Technology); Jae-Joon Kim (Seoul National University)

 

691: Technology Mapping Using Multi-output Library Cells

Alessandro Tempia Calvino (EPFL); Giovanni De Micheli (École Polytechnique Fédérale de Lausanne (EPFL))

 

692: Protection Against Physical Attacks Through Self-Destructive Polymorphic Latch

Andrew John Cannon (University of Florida); tasnuva farheen (University of Florida); Sourav Roy (University of Florida); Shahin Tajik (Worcester Polytechnic Institute); Domenic Forte (University of Florida)

 

695: INR-Arch: A Dataflow Architecture and Compiler for Arbitrary-Order Gradient Computations in Implicit Neural Representation Processing

Stefan Abi-Karam (Georgia Institute of Technology); Rishov Sarkar (Georgia Institute of Technology); Dejia Xu (The University of Texas at Austin); Zhiwen Fan (The University of Texas at Austin); Zhangyang Wang (UT Austin); Cong "Callie" Hao (Georgia Institute of Technology)

 

697: Side Channel-assisted Inference Attack on Machine Learning-based ECG Classification

Jialin Liu (Temple University); Chongzhou Fang (University of California, Davis); Ning Miao (University of California Davis); Houman Homayoun (University of California Davis); han wang (Temple University)

 

700: GPT4AIGChip: Towards Next-Generation AI Accelerator Design Automation via Large Language Models

Yonggan Fu (Georgia Institute of Technology); Yongan Zhang (Georgia Institute of Technology); Zhongzhi Yu (Georgia Institute of Technology); Sixu Li (Georgia Institute of Technology); Zhifan Ye (Georgia Institute of Technology); Chaojian Li (Georgia Institute of Technology); Cheng Wan (Georgia Tech); Yingyan (Celine) Lin (Georgia Institute of Technology)

 

708: Edge-MoE: Memory-Efficient Multi-Task Vision Transformer Architecture with Task-level Sparsity via Mixture-of-Experts

Rishov Sarkar (Georgia Institute of Technology); Hanxue Liang (University of Texas at Austin); Zhiwen Fan (The University of Texas at Austin); Zhangyang Wang (UT Austin); Cong "Callie" Hao (Georgia Institute of Technology)

 

713: PDNSig: Identifying Multi-Tenant Cloud FPGAs with Power Distribution Network-based Signatures

Huifeng Zhu (Washington University in St.Louis); Weidong Cao (Washington University in St. Louis); Xuan Zhang (Washington University in St.Louis)

 

717: Striving for Both Quality and Speed: Logic Synthesis for Practical Garbled Circuits

Mingfei Yu (EPFL); Giovanni De Micheli (EPFL)

 

721: PARseL: Towards a Verified Root-of-Trust over seL4

Ivan De Oliveira Nunes (Rochester Institute of Technology); Seoyeon Hwang (University of California, Irvine); Sashidhar Jakkamsetti (UC Irvine); Norrathep Rattanavipanon (PSU Phuket); Gene Tsudik (UCI)

 

727: Risk-Aware and Explainable Framework for Ensuring Guaranteed Coverage in Evolving Hardware Trojan Detection

Rahul Vishwakarma (California State University Long Beach); Amin Rezaei (California State University, Long Beach)

 

730: Bespoke Approximation of Multiplication-Accumulation and Activation Targeting Printed Multilayer Perceptrons

Florentia Afentaki (University of Patras); Gurol Saglam (Karlsruhe Institute of Technology); Argyris Kokkinis (Aristotle University of Thessaloniki); Kostas Siozios (Department of Physics, Aristotle University of Thessaloniki); Georgios Zervakis (University of Patras); Mehdi Tahoori (Karlsruhe Institute of Technology)

 

734: DiCA: A Hardware-Software Co-Design for Differential Check-Pointing in Intermittently Powered Devices

Antonio Joia Neto (Rochester Institute of Technology); Adam Caulfield (Rochester Institute of Technology); Christabelle Alvares (Rochester Institute of Technology); Ivan De Oliveira Nunes (Rochester Institute of Technology)

 

737: An Adversarial Active Sampling-based Data Augmentation Framework for AI-Assisted Lithography Modeling

Mingjie Liu (NVIDIA Corporation); Haoyu Yang (NVIDIA Corp.); Brucek Khailany (NVIDIA); Haoxing Ren (NVIDIA Corporation)

 

743: TaintFuzzer: SoC Security Verification using Taint Inference-enabled Fuzzing

Muhammad Monir Hossain (University of Florida); Nusrat Farzana Dipu (University of Florida); Kimia Zamiri Azar (University of Florida); Fahim Rahman (University of Florida); Farimah Farahmandi (University of Florida); Mark Tehranipoor (University of Florida)

 

744: DiviML: A Module-based Heuristic for Mapping Neural Networks onto Heterogeneous Platforms

Yassine Ghannane (Cornell University); Mohamed Abdelfattah (Cornell University)

 

745: Multi-Objective Architecture Search and Optimization for Heterogeneous Neuromorphic Architecture

Juseong Park (POSTECH(Pohang University of Science and Technology)); Yongwon Shin (POSTECH(Pohang University of Science and Technology)); Hyojin Sung (POSTECH(Pohang University of Science and Technology))

 

746: Accel-GCN: High-Performance GPU Accelerator Design for Graph Convolution Networks

Xi Xie (University of Connecticut); Hongwu Peng (University of Connecticut); MD AMIT HASAN (University of Connecticut); Shaoyi Huang (University of Connecticut); Jiahui Zhao (University of Connecticut); Haowen Fang (Synopsys); Wei Zhang (University of Connecticut); Tong Geng (University of Rochester); Omer Khan (University of Connecticut); Caiwen Ding (University of Connecticut)

 

749: DASALS: Differentiable Architecture Search-driven Approximate Logic Synthesis

Xuan Wang (Shanghai Jiao Tong University); Zheyu Yan (University of Notre Dame); Chang Meng (Shanghai Jiao Tong University); Yiyu Shi (University of Notre dame); Weikang Qian (Shanghai Jiao Tong University)

 

752: IT-DSE: Invariant Risk Minimized Transfer Microarchitecture Design Space Exploration

Ziyang Yu (The Chinese University of Hong Kong); Chen BAI (The Chinese University of Hong Kong); Shoubo Hu (Huawei Noah's Ark Lab); Ran Chen (Huawei Noah's Ark Lab); Taohai He (HiSilicon); Mingxuan Yuan (Huawei Noah's Ark Lab); Bei Yu (The Chinese University of Hong Kong); Martin Wong (The Chinese University of Hong Kong)

 

767: Frequency-Domain Transient Electromigration Analysis Using Circuit Theory

Mohammad Abdullah Al Shohel (University of Minnesota); Vidya A. Chhabria (Arizona State University); Nestor Evmorfopoulos (University of Thessaly); Sachin S. Sapatnekar (University of Minnesota)

 

779: Automatic Inductive Invariant Generation for Scalable Dataflow Circuit Verification

Jiahui Xu (ETH Zürich); Lana Josipovic (ETH Zurich)

 

792: KyberMat: Efficient Hardware Accelerator for Matrix-Vector Multiplication in CRYSTALS-Kyber Scheme via NTT and Polyphase Decomposition

Weihang Tan (University of Minnesota, Twin Cities); Yingjie Lao (Clemson University); Keshab Parhi (University of Minnesota)

 

823: 3DNN-Xplorer: A Machine Learning Framework for Design Space Exploration of Heterogeneous 3D DNN Accelerators

Gauthaman Murali (Georgia Institute of Technology); Aditya Iyer (Georgia Institute of Technology); Navneeth Ravichandran (Fidelity Investments); Sung Kyu Lim (Georgia Tech)

 

834: AIM: Accelerating Arbitrary-precision Integer Multiplication on Heterogeneous Reconfigurable Computing Platform Versal ACAP

Zhuoping Yang (University of Pittsburgh); Jinming Zhuang (University); Jiaqi Yin (University of Utah); Cunxi Yu (University of Utah); Alex Jones (University of Pittsburgh); Peipei Zhou (University of Pittsburgh)

 

843: An Open-Source Constraints-Driven General Partitioning Multi-Tool for VLSI Physical Design

Ismail Bustany (AMD); Grigor Gasparyan (AMD); Andrew Kahng (UCSD); Ioannis Koutis (New Jersey Institute of Technology); Bodhisatta Pramanik (University of California San Diego); Zhiang Wang (University of California San Diego)

 

844: Efficient Formal Verification and Debugging of Arithmetic Divider Circuits

Jiteshri Dasari (University of Massachusetts Amherst); Maciej Ciesielski (University of Massachusetts Amherst)

 

847: Risk Propagation Aware Vector Profiling for High Coverage Dynamic IR-drop Analysis

Yihan Wen (Beijing Engineering Research Center for IoT Software and Systems, Beijing University of Technology); Juan Li (Beijing Engineering Research Center for IoT Software and Systems, Beijing University of Technology); Xiaoyi Wang (Unaffiliated Scholar)

 

853: Power Distribution Network Optimization Using HLA-GCN for Routability Enhancement

Younggwang Jung (KAIST); Daijoon Hyun (Cheongju University); Soyoon Choi (KAIST); Youngsoo Shin (KAIST)

 

886: One-Dimensional Deep Image Prior for Curve Fitting of S-Parameters from Electromagnetic Solvers

Sriram Ravula (The University of Texas at Austin); Varun Gorti (The University of Texas at Austin); Bo Deng (The University of Texas at Austin); Swagato Chakraborty (Siemens); James Pingenot (Siemens); Bhyrav Mutnury (Dell); Doug Wallace (Dell); Doug Winterberg (Dell); Adam Klivans (The University of Texas at Austin); Alexandros G. Dimakis (The University of Texas at Austin)

 

912: SSDe: FPGA-based SSD Express Emulation Framework

Yizhen Lu (University of Illinois Urbana-Champaign); Luyang Yu (University of Illinois Urbana-Champaign); Deming Chen (University of Illinois Urbana-Champaign)

 

924: PSOFuzz: Fuzzing Processors with Particle Swarm Optimization

chen chen (Texas A&M University); Vasudev Gohil (Texas A&M University); Rahul Kande (Texas A&M University); Ahmad-Reza Sadeghi (Technische Universitaet Darmstadt); Jeyavijayan Rajendran (Texas A&M University)

 

927: Monad: Towards Cost-effective Specialization for Chiplet-based Spatial Accelerators

Xiaochen Hao (Peking University); Zijian Ding (Peking University); Jieming Yin (Nanjing University of Posts and Telecommunications); Yuan Wang (Peking University); Yun (Eric) Liang (Peking University)

 

928: LIORAT: NN Layer I/O Range Training for Area/Energy-Efficient Low-Bit A/D Conversion System Design in Error-Tolerant Computation-in-Memory

Ayumu Yamada (The University of Tokyo); Naoko Misawa (The University of Tokyo); Chihiro Matsui (The University of Tokyo); Ken Takeuchi (The University of Tokyo)

 

942: Hyperdimensional Computing as a Rescue for Efficient Privacy-Preserving Machine Learning-as-a-Service

Jaewoo Park (Ulsan National Institute of Science and Technology); Chenghao Quan (Ulsan National Institute of Science and Technology); Hyungon Moon (UNIST); Jongeun Lee (Ulsan National Institute of Science and Technology (UNIST))

 

955: SOLE: Hardware-Software Co-design of Softmax and LayerNorm for Efficient Transformer Inference

Wenxun Wang (Tsinghua University); Shuchang Zhou (MEGVII Technology); Wenyu Sun (Tsinghua University); Peiqin Sun (MEGVII Technology); Yongpan Liu (Tsinghua University)

 

956: Fast and Fair Medical AI on the Edge through Neural Architecture Search for Hybrid Vision Models

Changdi Yang (Northeastern University); Yi Sheng (George Mason University); Peiyan Dong (Northeastern University); Yanyu Li (Northeastern University); Zhenglun Kong (Northeastern University); Pinrui Yu (Northeastern University); Lei Yang (George Mason University); Xue Lin (Northeastern University); Yanzhi Wang (Northeastern University)

 

967: ARES: A Mapping Framework of DNNs towards Diverse PIMs with General Abstractions

Xiuping Cui (Peking University); Size Zheng (Peking University); Tianyu Jia (Peking University); Le Ye (Peking University); Yun (Eric) Liang (Peking University)

 

974: Reflections on trusting TrustHUB

Christian Krieg (Vienna University of Technology (TU Wien))

 

979: IIBLAST: Speeding Up Commercial FPGA Routing by Decoupling and Mitigating the Intra-CLB Bottleneck

Shashwat Shrivastava (EPFL); Stefan Nikolic (EPFL); Chirag Ravishankar (Xilinx); Dinesh Gaitonde (Xilinx); Mirjana Stojilovic (EPFL)

 

985: PRIMO: A Full-Stack Processing-in-DRAM Emulation Framework for Machine Learning Workloads

Jaehoon Heo (KAIST); Yongwon Shin (POSTECH); Sangjin Choi (KAIST); Sungwoong Yune (KAIST); Junghoon Kim (KAIST); Hyojin Sung (POSTECH); Youngjin Kwon (KAIST); Joo-Young Kim (KAIST)

 

993: A Point Transformer Accelerator with Fine-Grained Pipelines and Distribution-Aware Dynamic FPS

Yaoxiu Lian (Shanghai Jiao Tong University); Xinhao Yang (Tsinghua University); Ke Hong (Tsinghua University); Yu Wang (Tsinghua University); Guohao Dai (Shanghai Jiao Tong University); Ningyi Xu (Shanghai Jiao Tong University)

 

1002: TSTC: Two-level Sparsity Tensor Core Enabling both Algorithm Flexibility and Hardware Efficiency

Jun Liu (Tsinghua University); Guohao Dai (Shanghai Jiao Tong University); Hao Xia (Shanghai Jiao Tong University); Lidong Guo (Tsinghua University); Xiangsheng Shi (Tsinghua University); Jiaming Xu (Xidian University); Huazhong Yang (Tsinghua University); Yu Wang (Tsinghua University)

 

1006: Klotski: DNN Model Orchestration Framework for Dataflow Architecture Accelerators

Chen BAI (The Chinese University of Hong Kong); Xuechao Wei (Peking University); Youwei Zhuo (University of Southern California); Yi Cai (Damo Academy, Alibaba Group); Hongzhong Zheng (Alibaba Inc); Bei Yu (The Chinese University of Hong Kong); Yuan Xie (DAMO Academy, Alibaba Group)

 

1018: Exploring Error Bits for Memory Failure Prediction: An In-Depth Correlative Study

Qiao Yu (Technical University of Berlin & Huawei Munich Research Center); Wengui Zhang (Huawei Technologies Co., Ltd, China); Jorge Cardoso (Huawei Munich Research Center & University of Coimbra, Portugal); Odej Kao (Technical University of Berlin)

 

1020: ACOR: On the Design of Energy-Efficient Autocorrelation for Emerging Edge Applications

Charalampos Eleftheriadis (Queen's University Belfast); Georgios Karakonstantis (Queen's University Belfast)

 

1030: TRAIN: A Reinforcement Learning Based Timing-Aware Neural Inference on Intermittent Systems

Shu-Ting Cheng (National Cheng Kung University); Wen Sheng Lim (National Taiwan University (NTU)); Chia-Heng Tu (National Cheng Kung University); Yuan-Hao Chang (Academia Sinica)

 

1031: HF-Dedupe: Hierarchical Fingerprint Scheme for High Efficiency Data Deduplication on Flash-based Storage Systems

Kai-Ting Weng (Academia Sinica); Yun-Shan Hsieh (Academia Sinica); Yen-Ting Chen (Academic Sinica); Yu-Pei Liang (National Chung Cheng University); Yuan-Hao Chang (Academia Sinica); Po-Chun Huang (Department of Electronic Engineering, National Taipei University of Technology); Wei-Kuan Shih (National Tsing Hua University)

 

1032: MOC: Multi-Objective Mobile CPU-GPU Co-optimization for Power-efficient DNN Inference

Yushu Wu (Northeastern University); Chao Wu (Northeastern University); Yifan Gong (Northeastern University); zheng zhan (Northeastern University); Geng Yuan (Northeastern University); Yanyu Li (Northeastern University); Qi Wang (Northeastern University); Yanzhi Wang (Northeastern University)

 

1037: VECOM: Variation-Resilient Encoding and Offset Compensation Schemes for Reliable ReRAM-Based DNN Accelerator

Jewoo Jang (Yonsei University); Thai Hoang Nguyen (Sungkyunkwan University); Joon-Sung Yang (Yonsei University)